SVEditor

Screenshot Software:
SVEditor
Mga detalye ng Software:
Bersyon: 1.6.7
I-upload ang petsa: 17 Feb 15
Nag-develop: Matthew Ballance
Lisensya: Libre
Katanyagan: 22

Rating: 2.0/5 (Total Votes: 2)

SVEditor ay isang open source graphical na proyekto na ipinapatupad sa Java at nakabatay sa sikat at mahusay na Eclipse Ide, Kyoto, pagbibigay ng pangunahing Integrated Development Environment para sa pag-edit ng Verilog at SystemVerilog files.Features sa isang glanceThe application ay gumagamit ng isang scanner na ay katulad sa ctags para sa -extract ang impormasyon mula sa SystemVerilog at Verilog source file. Ito ay ininhinyero upang maging mapagparaya ng mga error, pati na rin na huwag pansinin ang hindi nakikilalang constructs wika.
Kabilang sa ilan sa SVEditor & rsquo; s tampok, maaari naming banggitin colorizing para sa SystemVerilog keyword, outline view ng naka-link sa editor, istraktura ng view ng file, SystemVerilog pinagmulan index, editor syntax pangkulay, nilalaman tulungan, at cross-pag-uugnay sa pagitan ng paggamit ng istraktura ng data at declaration.Getting makapagsimula may SVEditorThere maraming mga paraan upang i-install ang SVEditor software sa iyong computer GNU / Linux, kung isasaalang-alang ang katotohanan na tayo & rsquo; na nai-install mo ang pinakabagong JRE (Java Runtime Environment) at Eclipse Ide, Kyoto software.
Para sa exemple, maaari mong i-download ang pinakabagong bersyon ng proyekto bilang isang garapon archive na mabubuksan sa isang umiiral na Eclipse kapaligiran, maaari kang maghanap ng application sa pangunahing mga repositoryo ng software ng iyong pagbabahagi ng GNU / Linux, o mag-compile ito sa iyong sarili gamit ang pinagmulan ibinigay dito mismo sa Softoware package.
Para sa higit pang mga detalye, huwag mag-atubiling tingnan ang proyekto & rsquo; s website sa pamamagitan ng pag-click sa link sa dulo ng article.Under ng hood at suportado operating systemsTaking tingnan sa ilalim ng hood ng SVEditor application, maaari naming mapansin na ito ay naging ganap na nakasulat sa Java programming language at Sumasama sa Eclipse Ide, Kyoto para sa mga graphical user interface.
Pagiging nakasulat sa Java, SVEditor ay isang cross-platform software na tumatakbo sa lahat ng mga operating ssytems kung saan ang Java Runtime Environment at Eclipse Ide, Kyoto ay magagamit, kabilang ang GNU / Linux, Microsoft Windows at Mac OS X. Ito ay matagumpay na nasubok sa mga computer pagsuporta sa alinman sa ng 64-bit (x86_64) o 32-bit (x86) CPU architectures

Ano ang bagong sa paglabas:.

    < li> (315) - Itama ang isang isyu sa mga gawain / function na override-anotasyon pasiya code. Sa ilang mga kaso, virtual na pamamaraan mula sa mga klase ipinahayag sa kasama file ay hindi mamarkahan sa mga aktibong source file.
  • (nobug) - Magdagdag ng compilation-argumento sa suporta para sa pag-import -mfcu pagpipilian
  • (enh) - Sa compilation-argument-import, magdagdag ng suporta para sa e-execute ang command ng pag-naharang ng mga compiler wrappers. Minsan isang pagkabigo compilation nagiging sanhi ng ang buong proseso upang wakasan ang maagang.

Ano ang bagong sa bersyon 1.5.1:

  • Tamang ng NPE sa label provider kapag ang isang walang label Bumuo ng mga pahayag ay natukoy mula sa isang simulan bloke sa loob ng isang bumuo ng bloke
  • Magdagdag defparam mga pahayag sa view ng Balangkas
  • Magdagdag ng nilalaman tulungan suporta para sa mga parameter ng ipinahayag sa mga module na naglalaman
  • I-align ang nilalaman tulungan suporta para sa interface Instantiation sa suporta na mayroon na para sa module ng Instantiation
  • Pagandahin ang pamamahala ng hindi natukoy na mga macro upang bawasan ang bilang ng mga follow-sa mga error sa pag-parse.
  • Itama ang isang bug na kinasasangkutan nawawala isama ang mga file dahil sa incompletely-tinukoy na isama ang mga direktoryo. Sa nakaraan, ang mga editor ay pa ring magpakita ang mga file isama bilang mga nawawalang kahit na pagkatapos pagwawasto ng magsama direktoryo. Ngayon, ang mga editor ipakita ang tamang impormasyon.
  • Payagan ang module na pahayag na walang pagwawakas ';'. Lumilitaw ito mangyari kapag ang module ay may katawan protektado ng nilalaman.

Ano ang bagong sa bersyon 1.5.0:

  • Baguhin ang nilalaman-tulungan label provider upang ipakita ang uri pangalan ng built-in na mga panukala net (hal wire [14: 0])
  • Magdagdag ng suporta para sa mga code ng pagkumpleto ng hierarchical mga reference na gumagamit ng macros. Halimbawa: `TOP.sub.signal
  • Nawastong isang bug na kinasasangkutan ng awtomatikong pag-indent ng mga template ng code kapag magdulot ng puwang sa halip na mga tab setting ng kagustuhan sa ipapasok.
  • Magdagdag ng suporta para sa maramihang konteksto ng nilalaman-tulungan, na nagpapahintulot sa mga template ng code upang mapili batay sa kung paano nagsisimula pati na rin ang nilalaman ng pangalan na nauugnay sa template code.
  • Idinagdag template ng default code para sa ilang karaniwang ginagamit na mga istraktura: always_ff, always_latch, always_comb, kung, tao, gawin, habang, para, kaso, casez, casex, function, gawain, paunang, panghuling, igiit

Ano ang bagong sa bersyon 1.4.9:

  • # (298) - Itama ang isang pre-processor bug na kinasasangkutan ng mga komento sa loob ng isang macro tawag
  • # (300)-update Natural Docs - Tamang pangasiwaan (magsimula code) ... (end) mga bloke sa mga komento
  • # (301) Nangungunang puting espasyo sa simula ng seksyon ng code nagsisimula Nasira
  • # (302) bullet sa pop-up tulong
  • # (303) NDOC pang-parse - hindi nagpapakita ang Link sa talahanayan ng buod

Ano ang bagong sa bersyon 1.4.8:

  • naiwasto na pang-parse ng Ari-arian bug
  • Magdagdag setting para sa kung paano ang auto-reparse ay ginagawa sa loob ng mga aktibong editor kagustuhan. Kung nakatakda sa -1, muling pag-parse ay ginanap lamang kapag ang file ay nai-save. Kung hindi man, ang setting ay isang pagka-antala para sa kung gaano katagal upang maghintay.
  • Itama ang isang pag-parse bug sa isang nauugnay na-array pagsisimula ng pahayag na kinasasangkutan ng scoped mga pantukoy.
  • Itama ang isang indexer sa pag-crash kapag naglalaman ang argumento file ng isang landas ng direktoryo sa halip na isang path ng file. Hindi pinapansin indexer Ang ngayon ang path ng direktoryo, at ang argumento-file ng pang-parse nagdadagdag ng error marker.
  • Tamang-block ang komento colorizing sa argumento file
  • pag-uugali Indenter sa & quot; igiit & quot; at & quot; sunod sa & quot; hindi pantay-pantay
  • Tamang pag-parse ng isang bug na kinasasangkutan ng xor / o / at array-bawasan ang mga paraan ng

Ano ang bagong sa bersyon 0.8.9:

  • Ang bersyon na ito ay nagdadagdag ng bugfixes at mga pagpapabuti sa paraan index impormasyon ay ipinapakita sa user.

Ano ang bagong sa bersyon 0.1.8:

  • Ang release na ito ay nagpapabuti sa auto-indent sa pamamagitan ng paggawa nito agpang (gumagawa ng isang pinakamahusay na pagtatangka upang sundin ang mga indents ginamit sa file), Inaayos ng ilang mga bug maliit, at nagpapabuti sa nilalaman tumulong sa kamalayan klase-parameter.

Ano ang bagong sa bersyon 0.1.2:

  • Ang release na ito ay nagdadagdag ng nilalaman-tulungan sa suporta para sa mga pinasadyang parameterized klase, nilalaman-tulungan sa suporta para sa built-in na mga pamamaraan ng array at queues, at ng tanawin ng klase hierarchy. Iba't ibang mga bug rin ang naayos na.

Ano ang bagong sa bersyon 0.1.1:

  • Ang 0.1.1 release ng SVEditor nagdadagdag ng suporta para sa pinagmulan ng pag-indent at mas mahusay na suporta para sa auto-indent. Auto-indent Pinagana na ngayon sa pamamagitan ng default (mga user na may mga umiiral na workspace ay kailangang manu-manong paganahin ang auto-indent).

Mga Kinakailangan :

  • Eclipse
  • Java 2 Standard Edition Runtime Environment

Mga screenshot

sveditor_1_68403.gif
sveditor_2_68403.gif
sveditor_3_68403.gif
sveditor_4_68403.gif
sveditor_5_68403.gif
sveditor_6_68403.gif

Katulad na software

XCircuit
XCircuit

3 Jun 15

HDLmaker
HDLmaker

3 Jun 15

ASCO
ASCO

19 Feb 15

Piklab
Piklab

20 Feb 15

Mga komento sa SVEditor

Mga Komento hindi natagpuan
Magdagdag ng komento
I-sa mga imahe!